Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 15 de 15
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
J Neural Eng ; 17(3): 036033, 2020 07 03.
Artigo em Inglês | MEDLINE | ID: mdl-32480394

RESUMO

OBJECTIVE: In this paper, we report on the development of an easy-to-fabricate three-dimensional Micro-Electrode Array (3D-MEA) specifically designed for brain-on-a-dish applications. APPROACH: The proposed device consists of pillar-shaped gold microelectrodes realized by electroplating directly on top of a standard MEA, making this approach highly versatile and convenient for batch fabrication. Moreover, with this simple technique, it is possible to obtain electrodes with a height of more than 100 µm onto different kind of substrates, ranging from glass to flexible plastic ones. MAIN RESULTS: This novel 3D-MEA structure has been validated with acute brain slices, successfully recording both epileptiform-like discharges (upon the administration of 4-AP), and electrically-evoked neuronal activity. The preliminary validation showed a substantial improvement in the signals amplitude with respect to both commercial and custom planar electrodes thanks to a better coupling offered by the peculiar shape of the three-dimensional electrodes. SIGNIFICANCE: Beside the versatility of the fabrication approach, which allows to obtain 3D MEA devices onto both rigid and flexible substrates, the reported validation showed how the pillar approach can outperform standard planar MEA recordings in terms of signal amplitude. Moreover, thanks to the possibility of obtaining multi-level 3D structures within the same device, the proposed fabrication technique offers an interesting and flexible approach for the development of a new family of electrophysiological tools for 3D in vitro electrophysiology, in particular for acute brain slices and 3D neuronal cultures for brain-on-a-dish applications.


Assuntos
Encéfalo , Neurônios , Fenômenos Eletrofisiológicos , Microeletrodos
2.
ACS Appl Mater Interfaces ; 12(20): 23399-23409, 2020 May 20.
Artigo em Inglês | MEDLINE | ID: mdl-32345022

RESUMO

Polarity-switching photopatternable guidelines can be directly used to both orient and direct the self-assembly of block copolymers. We report the orientation and alignment of poly(styrene-block-4-trimethylsilylstyrene) (PS-b-PTMSS) with a domain periodicity, L0, of 44 nm on thin photopatternable grafting surface treatments (pGSTs) and cross-linkable surface treatments (pXSTs), containing acid-labile 4-tert-butoxystyrene monomer units. The surface treatment was exposed using electron beam lithography to create well-defined linear arrays of neutral and preferential regions. Directed self-assembly (DSA) of PS-b-PTMSS with much lower defectivity was observed on pXST than on pGST guidelines. The study of the effect of film thickness on photoacid diffusion by Fourier transform infrared spectroscopy and near-edge X-ray absorption fine structure spectroscopy suggested slower diffusion in thinner films, potentially enabling production of guidelines with sharper interfaces between the unexposed and exposed lines, and thus, the DSA of PS-b-PTMSS on thinner pXST guidelines resulted in better alignment control.

3.
ACS Appl Mater Interfaces ; 7(5): 3323-8, 2015 Feb 11.
Artigo em Inglês | MEDLINE | ID: mdl-25594107

RESUMO

The directed self-assembly (DSA) of lamella-forming poly(styrene-block-trimethylsilylstyrene) (PS-PTMSS, L0=22 nm) was achieved using a combination of tailored top interfaces and lithographically defined patterned substrates. Chemo- and grapho-epitaxy, using hydrogen silsesquioxane (HSQ) based prepatterns, achieved density multiplications up to 6× and trench space subdivisions up to 7×, respectively. These results establish the compatibility of DSA techniques with a high etch contrast, Si-containing BCP that requires a top coat neutral layer to enable orientation.

4.
Nat Commun ; 5: 5805, 2014 Dec 16.
Artigo em Inglês | MEDLINE | ID: mdl-25512171

RESUMO

Block copolymer directed self-assembly is an attractive method to fabricate highly uniform nanoscale features for various technological applications, but the dense periodicity of block copolymer features limits the complexity of the resulting patterns and their potential utility. Therefore, customizability of nanoscale patterns has been a long-standing goal for using directed self-assembly in device fabrication. Here we show that a hybrid organic/inorganic chemical pattern serves as a guiding pattern for self-assembly as well as a self-aligned mask for pattern customization through cotransfer of aligned block copolymer features and an inorganic prepattern. As informed by a phenomenological model, deliberate process engineering is implemented to maintain global alignment of block copolymer features over arbitrarily shaped, 'masking' features incorporated into the chemical patterns. These hybrid chemical patterns with embedded customization information enable deterministic, complex two-dimensional nanoscale pattern customization through directed self-assembly.

5.
Nat Nanotechnol ; 9(6): 453-8, 2014 Jun.
Artigo em Inglês | MEDLINE | ID: mdl-24747840

RESUMO

Strong interactions, or correlations, between the d or f electrons in transition-metal oxides lead to various types of metal-insulator transitions that can be triggered by external parameters such as temperature, pressure, doping, magnetic fields and electric fields. Electric-field-induced metallization of such materials from their insulating states could enable a new class of ultrafast electronic switches and latches. However, significant questions remain about the detailed nature of the switching process. Here, we show, in the canonical metal-to-insulator transition system V2O3, that ultrafast voltage pulses result in its metallization only after an incubation time that ranges from ∼150 ps to many nanoseconds, depending on the electric field strength. We show that these incubation times can be accounted for by purely thermal effects and that intrinsic electronic-switching mechanisms may only be revealed using larger electric fields at even shorter timescales.

6.
Phys Rev Lett ; 112(2): 026602, 2014 Jan 17.
Artigo em Inglês | MEDLINE | ID: mdl-24484034

RESUMO

We observe edge transport in the topologically insulating InAs/GaSb system in the disordered regime. Using asymmetric current paths we show that conduction occurs exclusively along the device edge, exhibiting a large Hall signal at zero magnetic fields, while for symmetric current paths, the conductance between the two mesoscopicly separated probes is quantized to 2e2/h. Both quantized and self-averaged transport show resilience to magnetic fields, and are temperature independent for temperatures between 20 mK and 1 K.

7.
Langmuir ; 29(11): 3567-74, 2013 Mar 19.
Artigo em Inglês | MEDLINE | ID: mdl-23458256

RESUMO

We present a simple and facile strategy for the directed self-assembly of nanoparticles into complex geometries using a minimal set of post guiding features patterned on a substrate. This understanding is based on extensive studies of nanoparticle self-assembly into linear, dense-packed, circular, and star-shaped ensembles when coated onto patterned substrates of predefined post arrays. We determined the conditions under which nanoparticles assemble and "connect" two adjacent post features, thereby forming the desired shapes. We demonstrate that with rational design of the post patterns to enforce the required pairwise interactions with posts, we can create arbitrary arrangements of nanoparticles-for example, to write "IBM" in a deterministic manner. This demonstration of programmable, high-throughput directed self-assembly of nanoparticles shows an alternative route to generate functional nanoparticle assemblies.


Assuntos
Nanopartículas/química , Nanotecnologia/métodos , Soluções
8.
ACS Nano ; 7(1): 276-85, 2013 Jan 22.
Artigo em Inglês | MEDLINE | ID: mdl-23199006

RESUMO

The realization of viable designs for circuit patterns using the dense features formed by block copolymer directed self-assembly (DSA) will require a precise and quantitative understanding of self-assembled feature registration to guiding templates or chemical prepatterns. Here we report measurements of DSA placement error for lamellar block copolymer domains indexed to specific lines in the surface chemical prepattern for spatial frequency tripling and quadrupling. These measurements are made possible by the use of an inorganic domain-selective prepattern material that may be imaged upon polymer removal after DSA and a prepattern design incorporating a single feature serving as an in situ registration mark that is identifiable by pattern symmetry in both the prepattern and resulting self-assembled pattern. The results indicate that DSA placement error is correlated with average prepattern line width as well as prepattern pitch uniformity. Finally, the magnitude of DSA placement error anticipated for a uniform, optimized prepattern is estimated.


Assuntos
Cristalização/métodos , Imagem Molecular/métodos , Nanoestruturas/química , Nanoestruturas/ultraestrutura , Polímeros/química , Titânio/química , Teste de Materiais , Tamanho da Partícula
9.
ACS Nano ; 6(11): 9637-45, 2012 Nov 27.
Artigo em Inglês | MEDLINE | ID: mdl-23033869

RESUMO

Detection of magnetic resonance as a force between a magnetic tip and nuclear spins has previously been shown to enable sub-10 nm resolution 1H imaging. Maximizing the spin force in such a magnetic resonance force microscopy (MRFM) experiment demands a high field gradient. In order to study a wide range of samples, it is equally desirable to locate the magnetic tip on the force sensor. Here we report the development of attonewton-sensitivity cantilevers with high-gradient cobalt nanomagnet tips. The damage layer thickness and saturation magnetization of the magnetic material were characterized by X-ray photoelectron spectroscopy and superconducting quantum interference device magnetometry. The coercive field and saturation magnetization of an individual tip were quantified in situ using frequency-shift cantilever magnetometry. Measurements of cantilever dissipation versus magnetic field and tip­sample separation were conducted. MRFM signals from protons in a polystyrene film were studied versus rf irradiation frequency and tip­sample separation, and from this data the tip field and tip-field gradient were evaluated. Magnetic tip performance was assessed by numerically modeling the frequency dependence of the magnetic resonance signal. We observed a tip-field gradient ∂B(z)(tip)/∂z estimated to be between 4.4 and 5.4 MT m(­1), which is comparable to the gradient used in recent 4 nm resolution 1H imaging experiments and larger by nearly an order of magnitude than the gradient achieved in prior magnet-on-cantilever MRFM experiments.


Assuntos
Espectroscopia de Ressonância Magnética/instrumentação , Imãs , Sistemas Microeletromecânicos/instrumentação , Nanotecnologia/instrumentação , Transdutores , Desenho de Equipamento , Análise de Falha de Equipamento
10.
ACS Nano ; 5(5): 4065-72, 2011 May 24.
Artigo em Inglês | MEDLINE | ID: mdl-21469708

RESUMO

We report a facile method for creating nanoscopic oxide structures over large areas that is capable of producing high aspect ratio nanoscale structures with feature sizes below 50 nm. A variety of nanostructured oxides including TiO(2), SnO(2) and organosilicates are formed using sol-gel and nanoparticle precursors by way of molding with water-soluble polymeric templates generated from silicon masters. Sequential stacking techniques are developed that generate unique 3-dimensional nanostructures with combinatorially mixed geometries, scales, and materials. Applicable to a variety of substrates, this scalable method allows access to a broad range of new thin film morphologies for applications in devices, catalysts, and functional surface coatings.


Assuntos
Cristalização/métodos , Nanoestruturas/química , Nanoestruturas/ultraestrutura , Óxidos/química , Água/química , Substâncias Macromoleculares/química , Teste de Materiais , Conformação Molecular , Tamanho da Partícula , Solubilidade , Propriedades de Superfície
11.
Science ; 326(5955): 980-4, 2009 Nov 13.
Artigo em Inglês | MEDLINE | ID: mdl-19965508

RESUMO

Phase transformation generally begins with nucleation, in which a small aggregate of atoms organizes into a different structural symmetry. The thermodynamic driving forces and kinetic rates have been predicted by classical nucleation theory, but observation of nanometer-scale nuclei has not been possible, except on exposed surfaces. We used a statistical technique called fluctuation transmission electron microscopy to detect nuclei embedded in a glassy solid, and we used a laser pump-probe technique to determine the role of these nuclei in crystallization. This study provides a convincing proof of the time- and temperature-dependent development of nuclei, information that will play a critical role in the development of advanced materials for phase-change memories.

12.
Nat Nanotechnol ; 4(9): 557-61, 2009 Sep.
Artigo em Inglês | MEDLINE | ID: mdl-19734926

RESUMO

Artificial DNA nanostructures show promise for the organization of functional materials to create nanoelectronic or nano-optical devices. DNA origami, in which a long single strand of DNA is folded into a shape using shorter 'staple strands', can display 6-nm-resolution patterns of binding sites, in principle allowing complex arrangements of carbon nanotubes, silicon nanowires, or quantum dots. However, DNA origami are synthesized in solution and uncontrolled deposition results in random arrangements; this makes it difficult to measure the properties of attached nanodevices or to integrate them with conventionally fabricated microcircuitry. Here we describe the use of electron-beam lithography and dry oxidative etching to create DNA origami-shaped binding sites on technologically useful materials, such as SiO(2) and diamond-like carbon. In buffer with approximately 100 mM MgCl(2), DNA origami bind with high selectivity and good orientation: 70-95% of sites have individual origami aligned with an angular dispersion (+/-1 s.d.) as low as +/-10 degrees (on diamond-like carbon) or +/-20 degrees (on SiO(2)).


Assuntos
Materiais Biocompatíveis/química , Cristalização/métodos , DNA/química , DNA/ultraestrutura , Nanoestruturas/química , Nanoestruturas/ultraestrutura , Nanotecnologia/métodos , Elétrons , Teste de Materiais , Conformação de Ácido Nucleico , Oxirredução , Propriedades de Superfície
13.
Nanotechnology ; 19(23): 235301, 2008 Jun 11.
Artigo em Inglês | MEDLINE | ID: mdl-21825784

RESUMO

The evolution of the scaling of modern semiconductor devices is governed by the ability to create scalable high-resolution patterns on substrates. Since it is becoming increasingly difficult and expensive to extend to smaller dimensions using optical lithography, there is a great deal of interest in alternative patterning methods. The self-assembly of block copolymers in thin films, which provides periodic patterns of 10-50 nm length scales, has been recognized as a promising candidate for such patterning. To be practical, however, this approach must provide control over the orientation and lateral placement of the microdomains. We report here our discovery of the controlled alignment of the lamellar microdomains of a block copolymer containing hybrid material using topographic pre-patterns on substrates. We find that this hybrid material forms lamellae with a half-pitch of approximately 20 nm perpendicular to the lines of a surface corrugation.

14.
Nanotechnology ; 19(45): 455304, 2008 Nov 12.
Artigo em Inglês | MEDLINE | ID: mdl-21832769

RESUMO

We report the formation and directed self-assembly of sub-10 nm half-pitch line patterns from lamellar microdomains of a block copolymer hybrid. The hybrid, which is a mixture of poly(styrene-b-ethylene oxide) (PS-b-PEO) and a low molecular weight organosilicate (OS), shows strong segregation between two phases (i.e. PS and PEO+OS) and forms lamellar microdomains of down to approximately 7 nm in half-pitch. Patterns applicable to multifinger device layouts are created by self-assembling the hybrid on topographic pre-patterns with a chemically non-selective surface. With careful design of the guiding topographic pattern geometry, well-controlled lateral placement including bent structures of lamellar microdomains can be obtained by this approach.

15.
Nano Lett ; 6(12): 2916-9, 2006 Dec.
Artigo em Inglês | MEDLINE | ID: mdl-17163730

RESUMO

Field-effect transistor structures based on polydiacetylene (PDA) derivatives have been fabricated. Monolayer channels of UV polymerized pentacosa-10,12-diynoic ethanolamide exhibit modulation of source-drain current on application of a gating voltage. Comparison of the two-dimensional crystal morphology of this material with several closely related derivatives that show no gating suggests that a high degree of alignment and order in the polymer chains is necessary for the observed transistor action.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...